본문 바로가기

[FPGA]

(43)
[EP1C3T EVM] 확장 USB 인터페이스 FT2232 테스트 [EP1C3T EVM] 확장 USB 인터페이스 FT2232 테스트 [EP1C3T EVM] 보드에는 확장 인터페이스로 FT245, FT2232등의 USB인터페이스 모듈과 연결 가능하다. PC와 연동한 어플리케이션이 필요할때 유용하게 테스트 가능하다. 확장 포트 핀맵 Altera FPGA EP1C3T144을 이용한 FT245 테스트 동영상
[EP1C3T EVM] 기본 Verilog Test [EP1C3T EVM] 기본 Verilog Test [EP1C3T EVM] 보드에는 간단한 테스트를 위한 LED와 스위치 UART, USB인터페이스가 있다. LED / Swtich 회로도 DIP Switch 회로도 스위치 및 LED를 이용하여 간단한 테스트를 해 볼 수 있는 Verilog Source 보드내의 LED를 쉬프트하여 출력하는 예제이다. `include module altera_evm(clk, reset, led1, led2, led3); input clk; input reset; output led1; output led2; output [7:0] led3; //---------------------------------------------- //카운터 생성 reg [20:0] counte..
[EP1C3T EVM] Altera FPGA (Cyclone) 보드 제작 [EP1C3T EVM] Altera FPGA 보드 제작 Altera FPGA Cyclone(EP1C3T144) 테스트 보드를 [L-Type EVM] 형태로 제작했다. [EP1C3T EVM] 보드만으로 간단히 테스트 해 볼 수 있는 LED, Switch가 연결되어 있다. 인터페이스를 위해 USB2UART, FT245, FT2232등의 USB인터페이스 보드를 연결할 수 있어 PC와 쉽게 인터페이스 가능하도록 했다. 확장 테스트 보드
[XC3S EVM] 보드 테스트 - LED Shift (VHLD) [XC3S EVM] 보드 테스트 - LED Shift (VHLD) [XC3S EVM] 보드에는 테스트 및 디버깅을 위한 LED가 있다. 이를 이용하여 간단히 보드 동작 테스트나 디버깅 데이터를 출력해 볼수 있다. LED연결 회로도 JP2를 이용하여 디버깅용 LED를 Enable/Disable 할 수 있다. 핀맵은 아래와 같다. NET "Clk" LOC = "P20" ; NET "Led" LOC = "P23" ; NET "Led" LOC = "P22" ; NET "Led" LOC = "P25" ; NET "Led" LOC = "P26" ; NET "Led" LOC = "P29" ; NET "Led" LOC = "P35" ; NET "Led" LOC = "P32" ; NET "Led" LOC = "P33" ..
[XC3S EVM] Xilinx FPGA 보드 제작 [XC3S EVM] Xilinx FPGA 보드 제작 Xilinx SPARTAN3 시리즈를 테스트 할 수 있는 XC3S EVM 보드를 제작했다. XC3S는 저렴하게 판매되고 있으므로 여러가지 실험을 해 볼 수 있다. 특히 저렴한 SPI Flash도 지원하므로 정말 저렴하게 FPGA보드를 실험해 볼 수 있다. 보드 내에 간단히 테스트 해 볼수 있는 LED, Switch, OSC등을 두어 테스해 보기 쉽도록 했다. XC3S100E는 모 쇼핑몰(IC Bank)에서 1만원에 판매하고 있다. 뒷면에 SRAM, PROM, SPI Flash등을 연결할 수 있다. 확장 보드로 USB2PPI (FT245)를 연결할 수 있도록 해 PC인터페이스가 가능하다. 물론 표준 S-Type MCU와 연결도 가능하므로 여러가지 인터페이..
[ XC2C128] Xilinx CPLD CoolRunner II [ XC2C128] Xilinx CPLD CoolRunner II http://www.icbank.com/shop/default.asp?file=product_detail.asp&prod_code=P000104212&catg_code=102120100
XC3S200A-4VQG100C - 저렴하고 간단한 FPGA Xilinx Spartan시리즈 중 최근 XC3 시리즈는 간단하게 FPGA를 구성할 수 있도록 되어 있어 테스트 용도로 만들어 보면 좋을것 같다. 100핀 LQFP로 XC3S200A-4VQG100C 가 디지키에서 10$대로 판매 하고 있다. http://search.digikey.com/scripts/DkSearch/dksus.dll?Detail&name=122-1594-ND XC3S100E-4TQG144C 는 만원에 판매하고 있다. 이정도면 FPGA 스터디하는데 투자 할만하지 않을까? http://www.icbank.com/shop/default.asp?file=product_detail.asp&prod_code=P000104025
[Multi-ISP] Led Shift 예제 테스트 [Multi-ISP] Led Shift 예제 테스트 프로젝트 예제 파일: 간단히 LED Shfit하는 예제를 테스트 해 보았다. 리셋을 누를때 DIP 스위치의 입력 값에 따라 LED에 표시하고 리셋이후 그 값을 순차적으로 시프트 하는 예제를 테스트 했다. XC9536 CPLD LED Shift예제 동영상

반응형