본문 바로가기

[FPGA]/XINIX

(17)
SPARTAN6 보드 디버깅 SPARTAN6 보드 디버깅새로 제작한 SPARTAN-6 보드에서 DDR이 정상적으로 돌지 않아서 고생 했는데...알고 보니 ZIO 핀에 0옴이 들어가 있어서 DDR이 정상적으로 돌지 안았다. 한참을 고생했네...파워도 의심하고, 칩도 의심하고, PCB패턴도 의심했는데... DDR 전류 문제가 계속되고 있다.1.8V가 최대 900mA 흐르고 있어서 열이 엄청 나고 있다. DDR초기화가 되지 않으면 DDR에 들어가는 1.8V 전류가 1A이상 흐르고 레귤레이터에서 감당이 되지 않아 열이 엄청 발생했다.DDR이 정상 초기화 되면 800mA로 줄어 들기는 한데... EVM에서는 400mA정도 흐른다.레귤레이터 용량이 800mA 이기 때문에 간당간당 한다. 공간이 좁아서 최대한 작은것으로 선정 했는데 다음에 설계..
[SPARTAN-6] XC6SL45 USB3.0 카메라 모듈 용 FPGA 보드 제작 [SPARTAN-6] XC6SL45 USB3.0 카메라 모듈 용 FPGA 보드 제작 SPARTAN-6 XC6SL45 시리즈를 사용하여 USB3.0 인터페스용 FPGA 보드를 만들었다.카메라 인터페이스와 USB 3.0인터페이스가 있어 USB 카메라 모듈 제작에 용이 하도록 했다.여러 이미지 센서를 변경 할 수 있으므로 카메라 관련 다양한 테스트가 가능하다. 최종으로는 카메라 모듈 제작할 용도로 제작 하였다. FPGA는 XC6SLX45를 사용 하였고 앞면에는 USB3.0 인터페이스 모듈을 연결 할 수 있다. 영상 저장을 위한 DDR 메모리도 포함되어 있다. 뒷면은 FULL HD급 카메라 모듈을 연결 할 수 있도록 설계 했다.
Virtex-7 (XC7V2000T) 보드 제작 Virtex-7 (XC7V2000T) 보드 제작 차량용 스테레오 과제를 진행하면서 필요한 FPGA로 Vertex-7을 사용해 왔는데 이번에는 직접 만들어야 할 상황이라 보드를 제작 했다. XC7V2000T 는 칩 가격만 해도 2천만원 가까이 되는 녀석이라 상당히 신경쓰며 제작 했다. 잘못되면.... ㅠㅠ 다행이 스테레오 영상 까지 문제 없이 출력 되는것 까지는 확인 됬다. 스테레오 카메라를 연결하여 3D Depth를 추출하고 ASIC화 하는 과제에 적용된다.
[XC3S EVM] 보드 테스트 - LED Shift (VHLD) [XC3S EVM] 보드 테스트 - LED Shift (VHLD) [XC3S EVM] 보드에는 테스트 및 디버깅을 위한 LED가 있다. 이를 이용하여 간단히 보드 동작 테스트나 디버깅 데이터를 출력해 볼수 있다. LED연결 회로도 JP2를 이용하여 디버깅용 LED를 Enable/Disable 할 수 있다. 핀맵은 아래와 같다. NET "Clk" LOC = "P20" ; NET "Led" LOC = "P23" ; NET "Led" LOC = "P22" ; NET "Led" LOC = "P25" ; NET "Led" LOC = "P26" ; NET "Led" LOC = "P29" ; NET "Led" LOC = "P35" ; NET "Led" LOC = "P32" ; NET "Led" LOC = "P33" ..
[XC3S EVM] Xilinx FPGA 보드 제작 [XC3S EVM] Xilinx FPGA 보드 제작 Xilinx SPARTAN3 시리즈를 테스트 할 수 있는 XC3S EVM 보드를 제작했다. XC3S는 저렴하게 판매되고 있으므로 여러가지 실험을 해 볼 수 있다. 특히 저렴한 SPI Flash도 지원하므로 정말 저렴하게 FPGA보드를 실험해 볼 수 있다. 보드 내에 간단히 테스트 해 볼수 있는 LED, Switch, OSC등을 두어 테스해 보기 쉽도록 했다. XC3S100E는 모 쇼핑몰(IC Bank)에서 1만원에 판매하고 있다. 뒷면에 SRAM, PROM, SPI Flash등을 연결할 수 있다. 확장 보드로 USB2PPI (FT245)를 연결할 수 있도록 해 PC인터페이스가 가능하다. 물론 표준 S-Type MCU와 연결도 가능하므로 여러가지 인터페이..
[ XC2C128] Xilinx CPLD CoolRunner II [ XC2C128] Xilinx CPLD CoolRunner II http://www.icbank.com/shop/default.asp?file=product_detail.asp&prod_code=P000104212&catg_code=102120100
XC3S200A-4VQG100C - 저렴하고 간단한 FPGA Xilinx Spartan시리즈 중 최근 XC3 시리즈는 간단하게 FPGA를 구성할 수 있도록 되어 있어 테스트 용도로 만들어 보면 좋을것 같다. 100핀 LQFP로 XC3S200A-4VQG100C 가 디지키에서 10$대로 판매 하고 있다. http://search.digikey.com/scripts/DkSearch/dksus.dll?Detail&name=122-1594-ND XC3S100E-4TQG144C 는 만원에 판매하고 있다. 이정도면 FPGA 스터디하는데 투자 할만하지 않을까? http://www.icbank.com/shop/default.asp?file=product_detail.asp&prod_code=P000104025
[Multi-ISP] Led Shift 예제 테스트 [Multi-ISP] Led Shift 예제 테스트 프로젝트 예제 파일: 간단히 LED Shfit하는 예제를 테스트 해 보았다. 리셋을 누를때 DIP 스위치의 입력 값에 따라 LED에 표시하고 리셋이후 그 값을 순차적으로 시프트 하는 예제를 테스트 했다. XC9536 CPLD LED Shift예제 동영상

반응형