본문 바로가기

[FPGA]/Altera

[EP1C3T EVM] 기본 Verilog Test

[EP1C3T EVM] 기본 Verilog Test


[EP1C3T EVM] 보드에는 간단한 테스트를 위한 LED와 스위치 UART, USB인터페이스가 있다.


LED / Swtich 회로도








DIP Switch 회로도






스위치 및 LED를 이용하여 간단한 테스트를 해 볼 수 있는 Verilog Source
보드내의 LED를 쉬프트하여 출력하는 예제이다.
`include <Shift.v>

module altera_evm(clk, reset, led1, led2, led3);
 input clk;
 input reset; 
 output led1;
 output led2;
 output [7:0] led3; 

 //----------------------------------------------
 //카운터 생성
 reg [20:0] counter;
 reg clk2;
 
 always @(posedge clk)
 begin
  counter <= counter + 1;
  clk2 <= counter[17];
 end
 //----------------------------------------------
 
 wire [7:0] led_temp;
 ShiftSwing(
 .iClk(clk2),
 .iRst(reset),
 .iValue(8'h03),
 .oOut(led_temp) 
 );  
 
 assign led3 = ~led_temp;
endmodule


Altera EP1C3T FPGA LED Shift 테스트 동영상






ShiftSwing Verilog 소스


반응형