본문 바로가기

전체 글

(1955)
[ TUSB3210 EVM ] 관련 자료 [ TUSB3210 EVM ] 관련 자료 회로도 테스트 동영상
[ TUSB3210 EVM ] 부트모드 및 개발환경 설정 펌웨어 다운로드 TUSB3210은 8052코어를 가지고 있고 내부 ROM에 부트 코드가 라이트되어 있다. 전원 투입시 기본 부트로더가 실행되고 이 부트로더는 EEPROM 또는 USB을 통해 사용자 코드를 램에 다운로드 한다. 먼더 외부 EEPROM에 사용자 코드가 없다면 USB디바이스로 동작해 기본 드라이버(ApLoader.SYS)를 찾고 이 드라이버와 정상 통신을 하면 사용자 펌웨어(TUSB3210.BIN or TUSB2136.BIN)를 램에 다운로드 하게 된다. 이후 램에 있는 사용자 코드가 실행된다. ->이 파일은 inf파일에서 변경할 수 있다. 부트로더가 찾는 먼저 기본 드라이버를 설치 한다. 드라이버를 설치하면 디바이스 드라이버(ApLoader.SYS)와 기본 펌웨어( TUSB3210.BIN )..
[ TUSB3210 EVM ] 보드 제작 - USB + 8052 Core MCU [ TUSB3210 EVM ] 보드 제작 - USB + 8052 Core MCU 8051 Core에 USB가 포함되어 있는 TI의 TUSB3210보드를 제작해 보았다. 무료 샘플 가능한 칩이고 8051코어라 쉽고 재미있는것 많이 해 볼수 있을것 같아 만들어 봤는데... 그당시 상당히 심플하고 괜찮은 칩 이었다. 물론 이후 프로젝트에서 사용을 했지만 값싸고 USB솔루션 필요 할때 간편하게 사용할 수 있는 칩이다. 펌웨어는 내부 부트로더롬이 있어 EEPROM 또는 USB를 통해 램에 올려 실행하는 방식인데.. USB로 펌웨어를 올리는 형식이라 개발 단계에서 상당히 편리 했다. 궂이 USB를 사용하지 않더라도 8051스터디 할때도 상당히 편리하다. 확장 보드도 만들어 간단히 포트 테스트나 LCD제어 등이 가능..
Lattice JED파일 다운로드 - ispVM System Lattice JED파일 다운로드 JED파일을 성공적으로 생성하였다면 완선된 파일을 다운로드 해서 테스트 해 볼수 있는데 ispVM System프로그램으로 다운로드할 수 있다. ispVM System을 실행하고 다운로드 케이블을 설정해야 하는데 메뉴의 'Option->Cable and I/O Port Setup..'에서 설정해주면 된다. Ez-CPLD Board에서는 VANTIS케이블을 설정해 준다. Lattice 다운로드 케이블 새로운 디바이스를 찾기 위해서 Scan버튼을 클릭하면 자동으로 연결된 디바이스를 찾아 준다. Ez-CPLD보드에는 2개의 Lattice 디바이스가 연결되어 있으므로 2개의 디바이스가 검색된 것을 볼수 있다. 참고로 두개의 디바이스를 다 검색하려면 Ez-CPLD Board 상의 ..
공기청정기 개발 공기청정기 개발 -공기청정기 회로 설계 -20V SMPS보드 설계 -펌웨어 제작 Main Controller제작 MCU는 Atmega16을 이용하였는데... 당시 PWM, ADC, EEPROM등 주요 기능이 원칩화 되어 있어 단가 절감에 많은 도움이 되었다. (대부분 8051, PIC등을 쓰고 DAC, EEPROM을 따로 쓰던 시절이라...) 모터 드라이버 모터 드라이버는 BLDC드라이버를 제작하여 이용하였다. SMPS제작 플라즈마 발생기가 20V를 사용해 할수 없이 SMPS 파워도 제작 했다. 당시 SMPS제작 세미나 찾아 다니며 만들긴 했는데... 고생길 이었다. 펌웨어 작업 공기청정기 기구부 조립 제작완료 2003 의료기 전시회 출품을 위해 급하게 만들어 출품 했다.
Lattice CPLD 핀할당 및 JED파일 작성 Ez-CPLD 핀할당 및 JED파일 작성 작성된 VHDL 소스코드를 에러 없이 합성(Synthesis)하였다면 Ez-CPLD Board의 M5칩에 입출력에 해당하는 부분에 핀번호를 부여하고 다운로드 할 JED파일을 생성해야 한다. 먼저 Constrain Editor메뉴를 클릭하여 핀을 할당할 수 있다. Constrain Editor를 클리하면 Constrain Editor 윈도우가 나타나는데 이창에서는 디바이스의 전체적인 옵션이나 각 핀별 Attribute를 줄 수 있는곳이다. 왼쪽창에 Input Pins와 Output Pins을 더블클릭하면 오른쪽창과 같이 각 포트가 나타나게 된다. 그 중 Pin assign은 해당셀을 더블클릭하여 핀번호를 적은 후 저장하게 되면 *.lct 라는 파일로 저장된다. 아이..
Lattice ispLever(ispDesign Expert) 개발환경 설정 Lattice사의 Design 소프트웨어인 ispLever(ispDesign Expert)를 이용하여 VHDL작성 및 시뮬레이션 방법에 대해 알아 본다. 이번 Tutorial 예제에서는 Ez-CPLD Board를 테스트 하기 위해 Ez-CPLD Board의 딥스위치의 입력 값을 LED에 표시하는 예제를 작성해 보자. 먼저 ispLever프로그램을 실행 시킨다. 구버전(ispDesignExper)의 프로젝트는 Lagacy Constraints Translator프로그램을 이용하여 일부 파일 타입을 변경해 주면된다. 아래 그림은 ispLEVER Ver2.0을 실행하여 나오는 첫화면으로 위의 메뉴바와 아래의 3개의 창으로 구성되어 있다. 이전 버전인 ispDesignExpert Ver8.4와는 약간의 인터페이..
공기청정기 프로토 보드 공기청정기 프로토 보드 공기청정기 제작 프로젝트를 위해 만든 프로트 보드로 모듈형태로 테스트 할 수 있도록 제작했다. 디스플레이 및 리모콘 센서 스위치 테스트 보드

반응형