본문 바로가기

FPGA

(6)
[EP1C3T EVM] 기본 Verilog Test [EP1C3T EVM] 기본 Verilog Test [EP1C3T EVM] 보드에는 간단한 테스트를 위한 LED와 스위치 UART, USB인터페이스가 있다. LED / Swtich 회로도 DIP Switch 회로도 스위치 및 LED를 이용하여 간단한 테스트를 해 볼 수 있는 Verilog Source 보드내의 LED를 쉬프트하여 출력하는 예제이다. `include module altera_evm(clk, reset, led1, led2, led3); input clk; input reset; output led1; output led2; output [7:0] led3; //---------------------------------------------- //카운터 생성 reg [20:0] counte..
[EP1C3T EVM] Altera FPGA (Cyclone) 보드 제작 [EP1C3T EVM] Altera FPGA 보드 제작 Altera FPGA Cyclone(EP1C3T144) 테스트 보드를 [L-Type EVM] 형태로 제작했다. [EP1C3T EVM] 보드만으로 간단히 테스트 해 볼 수 있는 LED, Switch가 연결되어 있다. 인터페이스를 위해 USB2UART, FT245, FT2232등의 USB인터페이스 보드를 연결할 수 있어 PC와 쉽게 인터페이스 가능하도록 했다. 확장 테스트 보드
[XC3S EVM] 보드 테스트 - LED Shift (VHLD) [XC3S EVM] 보드 테스트 - LED Shift (VHLD) [XC3S EVM] 보드에는 테스트 및 디버깅을 위한 LED가 있다. 이를 이용하여 간단히 보드 동작 테스트나 디버깅 데이터를 출력해 볼수 있다. LED연결 회로도 JP2를 이용하여 디버깅용 LED를 Enable/Disable 할 수 있다. 핀맵은 아래와 같다. NET "Clk" LOC = "P20" ; NET "Led" LOC = "P23" ; NET "Led" LOC = "P22" ; NET "Led" LOC = "P25" ; NET "Led" LOC = "P26" ; NET "Led" LOC = "P29" ; NET "Led" LOC = "P35" ; NET "Led" LOC = "P32" ; NET "Led" LOC = "P33" ..
[XC3S EVM] Xilinx FPGA 보드 제작 [XC3S EVM] Xilinx FPGA 보드 제작 Xilinx SPARTAN3 시리즈를 테스트 할 수 있는 XC3S EVM 보드를 제작했다. XC3S는 저렴하게 판매되고 있으므로 여러가지 실험을 해 볼 수 있다. 특히 저렴한 SPI Flash도 지원하므로 정말 저렴하게 FPGA보드를 실험해 볼 수 있다. 보드 내에 간단히 테스트 해 볼수 있는 LED, Switch, OSC등을 두어 테스해 보기 쉽도록 했다. XC3S100E는 모 쇼핑몰(IC Bank)에서 1만원에 판매하고 있다. 뒷면에 SRAM, PROM, SPI Flash등을 연결할 수 있다. 확장 보드로 USB2PPI (FT245)를 연결할 수 있도록 해 PC인터페이스가 가능하다. 물론 표준 S-Type MCU와 연결도 가능하므로 여러가지 인터페이..
[ XC2S100E EVM ] XILINX FPGA 보드 제작 [ XC2S100E EVM ] XILINX FPGA 보드 제작 저렴한 가격에 10만 게이트 정도 간단한 FPGA테스트용 보드 XC2S100E EVM 를 제작 했다. 기존 보드와 호환 되는 핀맵을 유지하면서 간단한 Application을 적용할 수 있도록 설계 했다. [ FT2232 EVM ]을 이용하여 JTAG 및 USB Data 전송 테스트 할 수 있도록 구성했고 보드 자체에 10개의 LED, DIP S/W, FND, SRAM등을 넣어서 보드만으로도 간단한 테스트를 가능하도록 했다. 이걸 2층 PCB에 넣느라 고생좀 했다. 어차피 1차 테스트 보드라 신뢰성은 추후에 생각하기로 했다. 뒷면에 SRAM 장착 XILINX FPGA SPARTAN XC2S100E 기존 SAM7SE512 EVM, DSP 2812..
광링크 장비 개발 광링크 장비 개발 -광링크 장비 회로(RT, COT, NMS) -H/W설계, VOICE/VIDEO 광링크 PLD설계 -NMS소프트웨어 제작 CPU : S3C4530 ARM7 Core 디지털/아날로그 회로 설계 PLD설계 - Voice/Video 2.4GHz G-Link Interface NMS 소프트웨어 작성 - C++ Builder 테스트 NMS Board Video Test 케이스 작업용 NMS 소프트웨어 NMS소프트웨어는 C++ Builder를 이용하여 작성하였고 각채널에 관련된 정보를 수시로 읽어와 표시한다.

반응형